Pytania otagowane jako vhdl

VHDL (VHSIC (Very High Speed ​​Integrated Circuit) Hardware Description Language) to język opisu sprzętu wykorzystywany w automatyce projektowania elektronicznego do opisywania i projektowania systemów cyfrowych, takich jak programowalne tablice bramkowe i układy scalone.

8
Projekt do nauki VHDL
Jestem studentem EE i mogę pisać [co najmniej proste] programy w większej liczbie języków niż mam palce. Właśnie zacząłem uczyć się VHDL i zastanawiałem się, jaki byłby dobry projekt, aby naprawdę poznać język i odpowiednie narzędzia? Mam problem z wymyśleniem takiego, ponieważ jest to dla mnie naprawdę inny styl programowania. …
16 fpga  xilinx  vhdl 

7
Jaka jest różnica między testowaniem a weryfikacją?
Każdy podręcznik, który widziałem, ma duży wpływ na to, że testowanie i weryfikacja to dwie różne koncepcje. Jednak żadne z nich nie zapewnia wyraźnego (lub wystarczająco jasnego dla mnie) rozróżnienia. Aby przedstawić kontekst, jestem zainteresowany weryfikacją cyfrowych projektów sprzętowych przy użyciu języków projektowania sprzętu (HDL). Widziałem kilka wyjaśnień, które odwołują …

4
Jak tranzystory BJT działają w stanie nasyconym?
Oto, co wiem o NPJ BJT (Bipolar Junction Transistors): Prąd emitera podstawowego jest wzmacniany razy HFE w kolektorze-emiterze, dzięki czemu Ice = Ibe * HFE Vbeto napięcie między emiterem bazy i, jak każda dioda, wynosi zwykle około 0,65 V. VecJednak nie pamiętam . Jeśli Vbejest niższy niż minimalny próg, tranzystor …

3
VHDL: Nazewnictwo i interpretacja architektury
Uwaga: używam ISE Xilinx i mam kartę FPGA do pracy (z przełącznikami i światłami itp.), I jak dotąd zhakowałem kilka prostych projektów. Jednocześnie czytam kilka samouczków, aby stworzyć podstawy tego, co robię. Widziałem różne byty i ich architektury wspomniane w materiałach referencyjnych, przez które przechodziłem, ale nazewnictwo jest często mylące. …



4
Projekt oprogramowania układowego FPGA: Jak duży jest za duży?
Mam szczególnie dużą transformację przetwarzania sygnału, którą należy przenieść z Matlaba na VHDL. To zdecydowanie wymaga pewnego rodzaju udostępniania zasobów. Trochę obliczeń dało mi następujące informacje: 512 fft 64-punktowych 41210 operacji wielokrotnego dodawania Biorąc pod uwagę, że największy Virtex 6 FPGA ma ~ 2000 bloków DSP48E, wiem, że mogę współdzielić …
13 fpga  vhdl  xilinx 

7
Cheap FPGA dev board [zamknięta]
Zamknięte. To pytanie jest nie na temat . Obecnie nie przyjmuje odpowiedzi. Chcesz poprawić to pytanie? Zaktualizuj pytanie, aby było na temat wymiany stosu inżynierii elektrycznej. Zamknięte 4 lata temu . Chcę zacząć od FPGA, ale nigdy wcześniej nie pracowałem. Chcę taniego zestawu, ale nie wiem od czego zacząć. Mogę …
12 fpga  vhdl  jtag 

6
rekomendacje książek na temat FPGA [zamknięte]
Zamknięte. To pytanie jest nie na temat . Obecnie nie przyjmuje odpowiedzi. Chcesz poprawić to pytanie? Zaktualizuj pytanie, aby było na temat wymiany stosu inżynierii elektrycznej. Zamknięte 2 lata temu . jakie tytuły książek poleciłbyś zacząć na FPGA i VHDL? edytuj Zauważyłem, że kilka zalecanych książek pochodzi z 1996 roku. …
12 fpga  books  vhdl 

1
Jak debugować czerwone sygnały w ModelSIM?
Muszę zaprojektować maszynę stanową, używając tylko bramek NAND dla części kombinatorycznej i klapek D dla logiki sekwencyjnej. Wszystko powinno działać z zegarem 1 GHz / 53. Teraz, zanim zaatakujesz mnie słowami „nie odrabimy za ciebie pracy domowej”, powiem ci, że złomowałem wszystko po inwestowaniu dni pracy i zacząłem robić wszystko …

2
Jak mogę określić sygnały „nie przejmuj się” w VHDL?
Na kursach z projektowania logicznego wszyscy dowiedzieliśmy się, że można zminimalizować funkcję logiczną, na przykład za pomocą mapy Karnaugh lub algorytmu Quine – McCluskey . Dowiedzieliśmy się również, że wartości „Don't Care” zwiększają potencjał minimalizacji. Na przykład weź plik rejestru. write_addressI write_datasygnały naprawdę nie ma znaczenia, gdy write_enablesygnał jest '0'. …

3
Jak zidentyfikować obszary projektu FPGA, które wykorzystują najwięcej zasobów i obszaru?
Pracuję nad dużym projektem FPGA i jestem bardzo blisko limitów zasobów FPGA, którego obecnie używam, Xilinx LX16 w pakiecie CSG225. Projekt jest również prawie ukończony, ale w tej chwili nie będzie już pasował do FPGA. Mogę wyłączyć części, aby je dopasować, jednak muszę zmniejszyć zużycie zasobów, aby ukończyć projekt i …

2
Różnica między instrukcją If-else a instrukcją Case w VHDL
Chcę zrozumieć, w jaki sposób różne konstrukcje w kodzie VHDL są syntetyzowane w RTL. Czy ktoś może mi powiedzieć różnicę między konstrukcją If-Else a konstrukcją instrukcji Case procesu w VHDL pod względem sposobu wnioskowania kodu do obwodu RTL przez narzędzie do syntezy? Rozważ przypadek wielu zagnieżdżonych instrukcji if-else i mieszania …
11 vhdl  code-design  rtl 

12
Czy używasz obecnie VHDL?
Jestem studentem elektrotechniki i uczę się języka opisu sprzętu znanego jako VHDL. Szukałem go w Google, szukając IDE (jestem na komputerze Mac), ale ten język wydaje się dość martwy. Oto moje pytanie: czy w mojej przyszłej pracy jako inżynier elektryk VHDL będzie dla mnie przydatny? Używasz tego? AKTUALIZACJA: Dziękuję wszystkim …
11 vhdl 

4
Kiedy lepiej jest używać reprezentacji VECTOR vs. INTEGER?
W wątku komentarza do odpowiedzi na to pytanie: Niepoprawne dane wyjściowe w jednostce VHDL stwierdzono: „Dzięki liczbom całkowitym nie masz kontroli ani dostępu do wewnętrznej reprezentacji logiki w FPGA, podczas gdy SLV pozwala na takie sztuczki, jak efektywne wykorzystanie łańcucha przenoszenia” Więc w jakich okolicznościach łatwiej jest kodować za pomocą …
11 fpga  vhdl 

Korzystając z naszej strony potwierdzasz, że przeczytałeś(-aś) i rozumiesz nasze zasady używania plików cookie i zasady ochrony prywatności.
Licensed under cc by-sa 3.0 with attribution required.