Pytania otagowane jako fpga

FPGA (Field-Programmable Gate Array) to układ logiczny, który jest konfigurowany przez klienta po wytworzeniu - stąd „programowalny w terenie”.

4
Co się stanie, gdy FPGA zostanie „zaprogramowane”?
Z tego, co rozumiem, proces programowania FPGA składa się z dwóch części: Zakoduj opis sprzętu do bitów, które FPGA może zrozumieć (tj. Napisz trochę HDL i skompiluj) Załaduj skompilowany HDL do FPGA. Moje pytanie brzmi: „Co FPGA robi ze skompilowanym HDL?”. W tej chwili myślę o układach FPGA jako o …
13 fpga  hdl 

2
Liczba w nawiasach kwadratowych na schemacie FPGA
Studiuję schematy płyty deweloperskiej FPGA. Zauważyłem, że wiele pinów ma liczbę między nawiasami kwadratowymi. Załączam zrzut ekranu części schematu, z liczbą zaznaczoną na zielono. Ponadto po prawej stronie zielonego koła znajduje się jeden pin z [2,5] przed nazwą. Chciałbym zapytać, czy wiesz, co one oznaczają.
12 fpga  schematics 

1
Co to są miękkie, twarde i twarde rdzenie IP? [Zamknięte]
Zamknięte . To pytanie musi być bardziej skoncentrowane . Obecnie nie przyjmuje odpowiedzi. Chcesz poprawić to pytanie? Zaktualizuj pytanie, aby skupiało się tylko na jednym problemie, edytując ten post . Zamknięte 2 lata temu . Rozumiem, że rdzenie własności intelektualnej (IP) są takie, że są to określone układy układów FPGA …


7
Cheap FPGA dev board [zamknięta]
Zamknięte. To pytanie jest nie na temat . Obecnie nie przyjmuje odpowiedzi. Chcesz poprawić to pytanie? Zaktualizuj pytanie, aby było na temat wymiany stosu inżynierii elektrycznej. Zamknięte 4 lata temu . Chcę zacząć od FPGA, ale nigdy wcześniej nie pracowałem. Chcę taniego zestawu, ale nie wiem od czego zacząć. Mogę …
12 fpga  vhdl  jtag 

6
rekomendacje książek na temat FPGA [zamknięte]
Zamknięte. To pytanie jest nie na temat . Obecnie nie przyjmuje odpowiedzi. Chcesz poprawić to pytanie? Zaktualizuj pytanie, aby było na temat wymiany stosu inżynierii elektrycznej. Zamknięte 2 lata temu . jakie tytuły książek poleciłbyś zacząć na FPGA i VHDL? edytuj Zauważyłem, że kilka zalecanych książek pochodzi z 1996 roku. …
12 fpga  books  vhdl 

5
Czy FPGA jest wykonalna dla takiego projektu?
Obecnie pracuję nad Super OSD - projektem wyświetlania na ekranie. http://code.google.com/p/super-osd ma wszystkie szczegóły. W tej chwili używam MCU dsPIC do wykonania zadania. Jest to bardzo potężny procesor DSP (40 MIPS przy 80 MHz, operacje jednotaktowe z trzema rejestrami i jednostka MAC) i, co ważne, jest dostarczany w pakiecie DIP …


3
Jak zidentyfikować obszary projektu FPGA, które wykorzystują najwięcej zasobów i obszaru?
Pracuję nad dużym projektem FPGA i jestem bardzo blisko limitów zasobów FPGA, którego obecnie używam, Xilinx LX16 w pakiecie CSG225. Projekt jest również prawie ukończony, ale w tej chwili nie będzie już pasował do FPGA. Mogę wyłączyć części, aby je dopasować, jednak muszę zmniejszyć zużycie zasobów, aby ukończyć projekt i …

3
Ograniczenia eksportu dotyczące komponentów takich jak FPGA
Zastanawiam się nad ulepszeniem układów FPGA na moim produkcie z małych Spartan3A-200 do Spartan6 o niskiej i średniej skali. Spartan6 są w rzeczywistości tańsze, a ja właśnie przerosłem 200. Wygląda na to, że błędem byłoby zaprojektowanie innego Spartan3A w tym momencie. Mój (związany z polem naftowym) produkt jest wysyłany potencjalnie …
11 fpga 

4
Kiedy lepiej jest używać reprezentacji VECTOR vs. INTEGER?
W wątku komentarza do odpowiedzi na to pytanie: Niepoprawne dane wyjściowe w jednostce VHDL stwierdzono: „Dzięki liczbom całkowitym nie masz kontroli ani dostępu do wewnętrznej reprezentacji logiki w FPGA, podczas gdy SLV pozwala na takie sztuczki, jak efektywne wykorzystanie łańcucha przenoszenia” Więc w jakich okolicznościach łatwiej jest kodować za pomocą …
11 fpga  vhdl 

2
Kiedy muszę użyć układu IC bufora zegara?
Projektuję obwód i płytkę drukowaną do sterowania 7 przetwornikami cyfrowo-analogowymi z układu FPGA. (DAC to AD9762 ) Czy można sterować wejściami zegara na wszystkich 7 przetwornikach cyfrowo-analogowych za pomocą pojedynczego wyjścia zegarowego (z pinu wyjściowego PLL) układu FPGA? Czy to przepis na katastrofę? Będzie to zegar jednokierunkowy o max. freq. …

4
Sugerowana karta FPGA [zamknięta]
Zamknięte. To pytanie jest nie na temat . Obecnie nie przyjmuje odpowiedzi. Chcesz poprawić to pytanie? Zaktualizuj pytanie, aby było na temat wymiany stosu inżynierii elektrycznej. Zamknięte w zeszłym roku . To moje pierwsze spojrzenie na FPGA, ale duże doświadczenie w tworzeniu oprogramowania. Chciałbym kupić płytkę do nauki, ale w …
11 fpga  picoblaze 

9
Projekty dla początkujących na FPGA?
Zablokowana . To pytanie i odpowiedzi są zablokowane, ponieważ pytanie jest nie na temat, ale ma znaczenie historyczne. Obecnie nie akceptuje nowych odpowiedzi ani interakcji. Mam dwa tygodnie do ukończenia mojego pierwszego kursu college'u z projektowania cyfrowego i najwyraźniej nie będzie ostatecznego projektu - tylko żmudny egzamin końcowy. Tak jak …
11 fpga  design  vhdl  verilog 

6
Przykład kodu dla filtrów FIR / IIR w VHDL?
Próbuję zacząć korzystać z DSP na mojej płycie Spartan-3. Zrobiłem płytę AC97 z układem ze starej płyty głównej i do tej pory udało mi się zrobić ADC, pomnożyć próbki dla liczby <1 (zmniejszyć głośność), a następnie DAC. Teraz chciałbym zrobić kilka podstawowych rzeczy DSP, takich jak filtr dolnoprzepustowy, górnoprzepustowy itp. …
11 fpga  vhdl  dsp  iir  fir 

Korzystając z naszej strony potwierdzasz, że przeczytałeś(-aś) i rozumiesz nasze zasady używania plików cookie i zasady ochrony prywatności.
Licensed under cc by-sa 3.0 with attribution required.