Pytania otagowane jako intel-fpga

4
Korzystanie z obu krawędzi zegara
Programuję Altera Cyclone IV przy użyciu Verilog i Quartus II. W swoim projekcie chciałbym użyć obu krawędzi zegara, aby móc dokonać podziału zegara według nieparzystego współczynnika przy 50% cyklu pracy. Oto fragment mojego kodu: always @(posedge low_jitter_clock_i or negedge low_jitter_clock_i or posedge reset_i) begin if(reset_i) begin fixed_clock <= 1'b0; divider_dummy …

3
Co to jest przekrzywienie zegara i dlaczego może być ujemne?
Mój kompilator HDL (Quartus II) generuje raporty czasowe. W nim węzły mają kolumnę „pochylenie zegara”. Jedyną definicją przesunięcia zegara, którą znalazłem, jest dokumentacja TimeQuest (patrz strona 7-24): Aby ręcznie określić niepewność lub pochylenie zegara w przypadku transferów między zegarami, użyj set_clock_uncertaintypolecenia. Więc jeśli pochylenie jest „niepewnością”, dlaczego niektóre z moich …
Korzystając z naszej strony potwierdzasz, że przeczytałeś(-aś) i rozumiesz nasze zasady używania plików cookie i zasady ochrony prywatności.
Licensed under cc by-sa 3.0 with attribution required.